From 86a45b4b2ba63a4738eb49689f1e6e8f45d96e98 Mon Sep 17 00:00:00 2001 From: Themis Karafasoulis Date: Tue, 13 Nov 2018 22:45:32 +0000 Subject: [PATCH] Lime SDR report --- LimeSDR_Mini_1v2_CW44.odt | Bin 0 -> 18258 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 LimeSDR_Mini_1v2_CW44.odt diff --git a/LimeSDR_Mini_1v2_CW44.odt b/LimeSDR_Mini_1v2_CW44.odt new file mode 100644 index 0000000000000000000000000000000000000000..44176ecce196dcce0f09785fdc79e5ac064a38e4 GIT binary patch literal 18258 zcmb5W1C(a5wl4aYjqXyH(Pi7VZQHhO+qP}nw%uhLUDdaG-?z^>cfWo28!vOL_0NB0 z%_MVVC7GG|5?KilP-FlA5&+<9vXassriUc~004iluSWnYGbiHQoz0{}o@EFcpSQ ze}Dh^`T3Q`pFe*-K+Men0EBljK|V#-^-C=ngN#o2EiHd5E=r!4KYt!^(h4Oyf>G0- zEK+J6ivj`P*yrPj(sb^#XnJsfT_JbzeM12M#T+?b1CKsa13Dal3{lkFs=C{r+NeYl zn(l2}Ek4r6&w*pXK%_K(pineDlISkV=i*gK=r|U2DQFocZ--N?G{}rvYU%)GGyX37 z)ifXx_nTcb>U^(&ZI921zPJ0QT*-D7#G0G`|O#uRG_k z1kmIji&=hP1dsHstLZf|pvX0qv>vrA!5&pwGE7tpq)~>FOHgXkvsY&S*~~W>FP<2S z>@>$_Ayq8V(A^oC&fixdF;!6qCrrRy3&dU`?!F;mcu_S}l$1=)gKUBVxOcwhrT-0! z<)aH#nAxhk-RrTc=Y}AI`ivhy(dv!w`#Jz@>c)nd*v_A6Bxij|l6k=W@YeWxz0ph# z*rM>Y^#D3)nkxG&-tm;I1*Hpmc}I*{vf}M4c7$BTSa5vN@vH}9S@fkqoK0I_G4q8w z=l~-|1@qT)Yi<1?9y%g}qF@%$m;YdgoYaBzn_}Ljz@zoS2W|GApz#H)BoSF`HO&@J zskGE|8{K^tL=%vh5>>Fim=j0xnIo69`w0)`vzx%Bb=~#cA{$ zgzS#_^O)i8_e4iC``!R!an7l$1n6ox+SALqg^6s->Ne-`F{sonb1i5ox%Zxgd4Tb= zz5{Me4nOZI!&G#5XaN_k%fL1vkJr-sNm)4DUU|s>eax{0yf+X~3II}qu(Pb?IGbA~ z25Jlx9R+^YfX-FBYJ1X_6c+*no7RPvE32xd`05GS&jqLA1nc(zB*_x`jLqb*;HdlA z_E8~QyBvDrTjcjV@cB8Ia@k%qa#DQY9sYPkVgNn$W(wdA18tmBmD&Cw2KE&JtK|jv z)CIwj-O`eygonj77mx*k2kM%_=z~?Q_h`OEL|g@6gA@1rkv$?a?l7aSLIAOP+>~8R zI?x6MJ*{!R=-3haI$4??S+~$OuS_A@V#+SM1&;C4;p=3cTj%#_tO0&AoEX(qr7T|# z-K=v1?doVvn!j=ajnb0Q(z1%2&I~)WxcYsk9KtID%NL;4>jnbN%^;YApmYiVH1p#P zjDteMt#}Gv#JzFDosg(2_ik-$y7vU3`9iB&?E-R2fz~$FwZ!nt>pq~;yf2=^? z%CD=Cv0AND?zuhg{L)!iO1O!j&{=bG%c(Zwy@k%Q1pkDC(3E`t<;t5jf|sM7=CGvh zHCUZZ@N{+a!Jw&#;cRh^SZltv*{4yLc|O#2n|J(bMwpu!9OPok%hrZGQO?x^1(f!a z#2+phxy(G;Q7-jlYz`Si=_s>&PrE6R$Z)P#fnEF#Xfq7Kd6)1-Sh1)BvKmdy4Op2y zD(;BfM>ud7h&Zj7HN^LPS3o{{TQvHpCs;Y=g3rhhE7A@SnBri4Lmg6RAzVAtIt6D~ zIe>g1yjlczB6J4ptZW;gTfA`*ryfwg8_`}Ay!XT(wEtMtv{Cw@-T?HOb~IwURepH^ z)_%{80P=pP{Lo;<5D=%$SHoX-rJW|w(#mA1=Gvm1n^UX@cm?(nSBHNC9& zt+G+mK5k9>@bC$8ckHflKVZNp1dz+G&a{&V0qL+;O^amaFEcCnje=3aUoAFUk8RMW zuixUex77BoK$;GgW&htT%5xE~9=T$3EWyW>BKY-_Lwjillczn0sS#y3~qT z$9ngV*DOI$xqds~TE}6$*97EIA)b^$pw^f(8kD^X*^F!hhslBLE&*~_aRBr{<9*nc z1aKYo6OhW}YNMq>dp}P)#^CUB@vaZESl_~c5d+ZEkcWfpc~e_xI4V=hb!>R>=6e9Z zmjZ|{eFFMBtf(&-1dClas}s@XDS0eU{7&UY-DQ}+r?q>37!%X&M~D%ShR}zKEqFdqb!ef-}aI=5F6yS~0*NYnDGq^AdC(G84;kX5+`NS#k`^d(#y{_xE z^3Tgc47DIr5`Q<2OZyrk)0w>ESiL{rI5>U}8);3!OQ2?tY3vo68RgmM0WAgXhRIDq z%F@^E^VFq*EfO8i*`DQTY70l1rs40mgVVAis5BP`v4$w1hdyV#B+`yI^noa5Hc?sY z3fL=(NSX<6Gm*E^4Xq-YMhCYrVFC!ox1mLZhl2PX`2kbMgktjv61k9WAaHwkn$U;< z5r;Ey^upeRib(fzPbLmd3#GA_N*BGHEnR{@KfgAEpwyV{+m1FT)U*CXJFYpATBZ11 zO~Kny_8OV|dTWrk4)B0=xG>okY{3)#6?K}Lb4SM4m4ZHp@TQ$bxN>~n+wiIV@gx{D z31;`Pc(o}_2kCI#;&{9DE%psgh|7?vUOVEmv^K*HQeWxl13a$;OwS54@h$q~qgXH@ zE9>uJso?>O8CrGyIMe<9lvZyZc)HuEnS`eHY%oecM4{T_AeH>@b*G_!rv=etT`DvfQ!HH{n6I~uOjBF6$9 zk|J?+Z2sD9BUmacMHbsU>IkbWY7GF=)%H57k&%wB7x_`=rzoRa*IDD#?BN&NwAq#@ z+uk+1*J+QX~F5LTEZjYx_2g5YQzQvga5ph=6 z#`Y%nr;sb3Ou|vACk>)3E+WvI1NbdJ=j?F1%PHsj(G@6g!CWyV&++KMRVbvHbf0up z!@}Y|^1fh3LIIBlG~D%?MUnD#N?E0o$oa)jR9D12EnwFMC+C@aredm$$JeLhu7+!y ztR)t86Q?4lW~~)pWf2l1=Wu253<%{6H8zu)X*eem0+S}x`_CfhE3MHP@Q4vY^yw${ zXDu4v?HneK#*eCoV}_OZsuhB-j(>DT;8P!$`-g^@#9suAvt@|{62=E+>YtIlF5cm%4YPpWsGM8I zaMKhB25o=eFwIJePJqz1%9>iLw(y-PO4dtyAB9nyVRqM~VAKt?W;)0&KaQ?&JJ5Yv zZkp>0en!?ovd9){N$4VD@*t_687eOzvC;E)!uPLM{S@YIC3ti@FDx%elCTi-Z#cM8 z%28!Iw<{cZ#O&z%!;CQ~Rd(N6wT*Tyfk_iO1-8pIsys!)p?SojM$Zdhe-;p1i_g86 z{j8R9pXuSwjPSvjt;qtZD;ee4ERo^Ld+uFiQ~?l-Nd(wUCCJ!s>(Ru7z$yqb<@HpOAD3*u@Ug|ObQ-ao;gbq=PlG@+41^2%IpIs? zeTN-){XSKEXsVAI(aywSdBj4?L5aPlY5^}#v-1N(7oY_3iLweNZ;~Sj)D8^85qs|S zur1iunGHMfLuNYr>WM{|VNl$C6QQ?2Vq?bA+AdiQgBdR3{U_jTY43bQP`o2m*Sa;V z%dKpVG-RB+1wJ(13uCEe1t^9=E_dQB} zvP_7V9%F*aJwyp_e$;MbaGbsbs!`9LUAqr(7N?-y0K_c-Ap?i}dD29RYnf$L!!7EF z3mQy!J)ywZ49SZ|>4D&h%W%;Ot!a~k%YHQVa{n@00vqZXpt`FuP4pxtpnrv8IT=n{ z$)|yfoTa5CT%`u`41O8NH>%OqJ8l0ea|hff$F)ZHjq*Fcy+;JZ4H5$H5BzKrjF_|E zb%>_g4)ADklfv|(O*!CGRyt5!8Z3i|%4lmqw zUus`fz61d%ege;~yZYn_Nn%QSzlSU~5rKVs2(vvoeSPRbQLkuS2QyM$NH89Tj_1-+ zN}hk~(%jf#+TM5f)dcyXRJ0R*{7K}}w#iWeWdAPXS}J{K4OmBzi53=yjFUgg^-28@ zfA=KkR@yN-zc||7y9W1rT0WSmvB5o<=D`Ba(D(DX27-AD2#u{Y3Q2pGs+6Qj=$weC zmU8QipVvwwb^EyP(f;KGw0VAq*ed`)0OAC_`F}CCDHDHy%tE(Kk!yCod>#ORn2@w! zHNUR^|MpuzLPGw-3Gz4Qp8@uZvD9<3adMb2UH|t)|9Uzc9NjF99H?EbEH5>!Vm6q+e|q=y%f`JI zHyPGsHPK}3%kH{^#pBqD@t`#dZgh$k^2(n(d4Is|5Q--^)^|-A)novZO{U?S1kb>R z(2RHB;@(@{`L^LjZbRHkAxqLqsfwRmalc&N^YnM@jy(IgjWlb-?>ddg(~l?y^W!j3 z65~k@ts`Cs#4j)j_=hqHv7uU|RjT=wA*sJ_T5u(r&1FTQtW0({4#wD5*6v%4=1;xE zF*VR+**pHAsC4Zs>3&I$Lh(jcYcUPJ0u+%|6*&QJ-CwD3Zl&AyE#!KlnLbY3Ai;TiC1x`G!bZLGw3A^dY+ zZ{;A}Fy_VdYf^UfFuicQz#-$chBf9jlesCy11$IF@m3%TEIS5U;+9!6$yPp+dBOJm zF0xKsiAWb%v4SHaf1~X6(he7V4Ixu>lIzJZmWxkK-YxT&mNZDWD>N3qw=AvGrY8E< z#=ZWyU0-V@@>;Zv>~5ACind3Z$;Vz%X|&VGLSq8TVww#8BH&~Xq%Q>5U-$?s+gHf~ z*b&2BT#(__&0H2Qx;VT98Z$MXz3fga>8Yi%P#2UEW!dkgt~ZnP`*`DO`&>XweWccG zkrqWK4hB@>?xc{Zk-P~@WKbI<6qs(k{-qd8eGsC<8k1MfA1emL4`o(ERwZf(Bn1a`P=-=xSTFfjHi^pd*2XAE7<*8a*>l z+)@Jf$7VoQP3@xjMo2%3z1jwwGxj&u;`XV?88qTMva5H`dHEECa@ps}N?DMxo0T@Qm`_tb_(} ziY^fSn}H{8Zn;CP_g|=q8xy=aizG@1`AIeHNTCyX*RZb8Np#Z0kAgY6vG=`Yn~AVH zYnNlLo&#GdRL-wpC)p6&5T=X`Bk1?=t9Y@@W>|h#i-q$D78#l&`r?YwF;tRLJfW;M z;b2C8Lw=)GBaDNC{g$q;G*X(CR#U$)NCvC~)EkJx?^mhQ60Sw&l5bB&yDGi}d)7zA zOh{uVP`1nt5<55L?-_K&N?m9@fw+}q3L%wx77PjvJO+f^<5gZc@*VZ+2Igpyp`1$@ zG6*|A3QL)xR1P};eZ$!*#HF#uL!{1KvFp>FJ$K#F@Wsw_G`z@RHoTqWQjcPurU(4d zl1bl761cROmr_{41>Pgvj|A8iI_Ev`Y1o4l!R4VcG(Rs(xco0=6=K)Y| zIP;JNS|Y?PMY!)-FRCds`QGGU|SXt@N687;33J%q~3k4kVG4L zZ7L2=-+`C16tka#SS6GX`$Qs7)1tkcbctI6V2b@ zEe<@3M2$O=0p+`Yh{o3=;^7ICfS*z?p5*haZigH0q252ksK zZ_v2~2Cf@Up5Y{7R60(HwW-m(y2G!IBI>5+YiE_fmz2QA;ZI1j$33;xIo2LqAD%{R z+}G0{nq#}#YAZl_&^PY1)x$YnyZtxkEI{7w>`RE02(XMKhP>*Sln}a}6tO-~m&|n5 z7}*g!{K1wl2?RuFGKslg({;U`98s#ar@Q&O-vC9EL{A8Z`I1g-1aFwGSy>D%JosIG z9>Z=RRBY@Fe(i*AO2A7hJV*Nc*KvXg`6kO-8iF5UO-Uoh%>;~A;=pHmt5W^h9=6~Z zf|pDZmq^tC4H}*K8}Pz1w=}g@o}R-{#O*zOP~OF5uBd?u!w%P~RWsfyj&<_pkMzHD z$p=XMET6>D*UFlNu@zh4|0qCtQ*|E4JFd@ai7xdplkF(&LOz ztraDVliRrrH)AEdeAi{va+lX^vRgP_uQ;!M{f$09EH)pL&%&n?XB}QAan@)op0@Qy z_DeTptCsR|inPHQPQMVVO`}=-M?-_M2LeZf-o>Ogy|eMhs2XLr!r`Ec$0{0d&2%U0kUEF={5l3ldD@P5={yDwT=q+hC(e^h zrCqmKHCO?Y+x)x++80-~*|3=qX-^c#eVDuktl;T8A%T(1m2S#I!Q-DK?a*v#Oe6P^hs5KpwUUbC`U&>~*&bXjhPz@jf0zd57fY>D8#(asCa zn34XOko89`fM=Mnj%JYBR3cuWM_59#ChWQwa7wfh+JGqH4ui_C8N{D=;?;8jgfjCk zA4i^zS*Wy7p>DKTZ`M;9Kx77I6tpSEj`B!dEW(v~8OJz|UM%5Dg-q&T&pVD@RtTiS zt97y$p2q(A%euXv#TE-(Y5$EoTf(pEr+hX_&LQx2K8MP-ei zaORRSqVM5lvwgsmTd6qXY*m1SoK$krp`|mt`C4INwUqPDFS*8{Eg-qT4JOv&U+wYh z%b``R=dMGUN`pRmIWzB3viS>VL*gdJTbs(7cN*(H??onCrT#Rt_OQX)DOR(gikJ%&~tl!*s>YSWbIhI*@W z{3I3Dg)~m^tcMytqRthCiv1Lm(0oLYWzL?drs)RVt&MFta*I<~W1RHr639O8?X2ZC zc*|ry8#i}D;T{OyDlY5K8$l(=D010C-qV6tf>u8k7jtD*&tp9-Fq(DsrmEZnbM=V5 z4wmnjFAY_0+EGOZJaMCv&2J93Gf)p)$mF;)P57d-%a|~Gr1#=1f#hcKDO8(s1NMj{ z(LcBP%^ZoDeIAjEAkH5KXC8ePoR_8WZwsU?fmmVXG3JaLrS9h0&dt$;URhliyIyz8 zXWr`{*V3JTX#U!Rbma98t55(y3;%zz2mczG4n~fSX4WSEun4=wgbCX+eg)72t#gmcBtun7AemI@9C2hi;)06(^6Zw3T^~hB2WmDl03q^NO)2px_r_PTLXXN!9OKb?<9^v)otK zWO(SOsku9PnM-=Sge?)M`=%BDlEiMz+>_s0yph zZ<3@PMlWd8^uf*|S`K;i{ojIpAiNEJHeq5m5)o!-?-(6%M9tt~!O%q4jQr6ROq&>7 zx#4`Ih~qQ_r^s@rpBK4=CD*ZlY!X%}H~$BNp>(0P18GOn8WfiSp${jr|eR^XvF zyT%qzlgSOyGy51U(#(W??p@*k{UYkPQ6B?mTaS*<`INR^6{XMsY9OzQ3BiY}^UG6tN1^8j z=2mK0+!l2&+dA*4dxD7~Hb214*n=HTR(fvq^Grg@*R04xILSZ+p)9q$hp2S%v);NT z^|q=@B;l!!)ZpirW2ZWC7J<7n$BdCScDNnC>RPx2IRNw0!A@sfkyZEghkzXU+ZCB# z+)O+~%$Qam=y48EGx=`v5?RoLx@;R!d`rJIl0kYcoYOiWY>*_RgGN!ltUc#ec9beo zv7K;-YSyu(C7BA?uApqVonjv*wG=rFjR-qT_2SDU@1bROt_Ir4;( zm(zDLE1ox!RQ&l`!c-UaHP^u8(G_0sArrf*Jzj)g2ZbO(%KL5pQku>l{LD)wYCs68 zFFNp+!qZRPhG8(JX?@8_8EM(&LqKbu7mO!Qv!1VmE`X;a#`PIlOF#DMPooHZ%oJ7X zaSfB@855sNkH-%A+7;-hHlos_fvqZ6X!Vfc^sPx8tdEin1WJ3+G6`UkrvqZF+1y)&X3x zSWIMBHoQN~$N`BT2sU9!AE0&&h?h%Vhp5mO*xCbhVKtBN~w|!a?Hj80%GeP$#3IX@- z`rTZ}z8R6-i*J0{cSB(mUak;HT)%V)L6RqN<}Lrw;Jfsvpb*FWrtGH;yoCz@=4dEk zWk=HAQyY2R$)x_f^>Z)|6XCY`RBMd?#v4!MG{PTCzt%$jrWPM0AOSJm4p%z?ksTkEKHg)=|(d zo(TsL7bN8hLlKl6r9-Ow5eI)$3rH0iO(zzZE!`BS?*6X$LjfsrquFUa>Zek47isv- z?zHJJYPGc@n=JZ(EPqaJ-Lb2y@q6$es-=c=artqg(vgvQfz0c6Ae?{ZH-(5 zmR1W^G^ou5%5^b>hadBGL~X-p@<*%GyBmVu|ibEJ3SM-eB| z`J6t$3_VNkLe^+fbDDGQsZqUKQpyxc%oW~;Q%IF3!l^(_jBA(vw)8>B&KkBL?ZuTa z?*rCeE&(@SNvlSO+>h z;kPj!`yMtdAK-th$2QwN(`xU zZf4kGBPu2!H^AfK4LTzs@Cfo(X~Lg}w;f+9fqp6ef8uh!9{yLr`r-s^tc}e~ob3Mr z?{T1~(K9eGvNZboYh(Xq#QaZq(0_@2F&O_w($U7o(pJyf$nyWEoP(pDqmzTa-hayP z-<8zX-p0h<$l?D(@-O!2Uv>Oj(*K;_zthjw#@5O93+?3eAN2coxfxs9=s6nwC++^7 z)ZdK$yNv(NJBjk8nUs(sAC;K2FwK8`yIfZYwbexWXCYB{I&skK=JH8z;FjA|EI*ZYn+m27d zkPqV(m_=y*!-d@OciPPoI5C_y6h4UP{E*&)!)2gm&ybAK%A9kzN6R^ z;Mx3IZcDs;o9j6eJAx`E(bvw!G0HY9lv$J(;JrYfk2R`VFq=;p+Iq=QV@}YaMk9`$c(Qo<^B+Ct{7Hc2T=A zBk1#i8mdbxN6@+P{9B4}M_to7#9~JAdR9zV#`t)(;B8Zb{T<_-=UJ-uPzCXk9ol?+ z#1c_S+_3@zzkQpzK28eCnde3hIj=g!wfD7WZGYwC z)3qs7%%#kYQ|(WjWarln6Kb|u6~>`es9;uVcdhGkS4r&OayiM9H>&Brm29<}!Q^$6 zQ{uSfl~&HeD^DAvdT@7LzcVPg`Bw%MpOr(N23F1}7IF}M@xDRN7d5jASo5sY)2Ph|dE6qskd1BIsJ zCD(ke2wP}Ij;hMzccJh%EfG2=om?eKq#p4G*KXj1b)Di~a=3$6=tfJLHvh(LgN*{) z7v7Cl6o;hcx_IxPcUAf}>G)J9-__>C2X2PZLLW5yh2xe%1~;UShCP#X@{b}=*hJ2s zjOl{ijS!or1Loa@X6aMy8?z?CSQWrBb|N5$qh7>rO7E@ua-VbCIM@FR3fg=kTrZ$$|*IG zSsSKM3TpVUs3`;9J!B~p(kU{n_gQZ1${sQWWs?rc>@8Yz2Rd$ehcpz(!OL*@Crz-9 zt5cc48B-ZL#ga0sXQSSDsODXdmRNi6LEMKPX|yNvLp*40+ZW|Z@KzF4riv0&8dM4< z9_`L>4tNIP)n&we=J^P@v+&ev#S<!c#NF{HY+<60VHij&% z%Xy>O(7~*X@ImE@wK?f~#5ElMZYD? z8Le}Zq+tfC3YZ;q&e#k_S0}B9k+b?vwdtl5Bk%s#@k|H0ZI#^yQkOfq))f$DsMZ^Z zl?Gf*FHy9%gwQ5lpC2Y5qe7x%Cci zoKMis3VWpj8t6^g;RoD~SdY?Nm#5woT6XWR`p+NRSjvnhp3J&7baT4_P86YX* zX5>DtfgonVwW+L@C|TIPV%jV`CflfY#sY~6N?Grh{+t#!Z3$f( zy_PwskA(JqPHbEt0q)%V(zb_w%3^_8Y*(YbLmQ=r4$`puLL8aIq|-Vm94rEha#jI{ zQeIrK)cpfq2Uu!BeQ^_rY}-?lm7w^@|OPl(&zr-92QR zwfmN?&UQ_YAoTh5x1bL(y^+tt#uXjPcl;>JZd@AhGdl#pDo$YszX0^61PHeaVNuFz zeVd@;5nOk7L7R38yMR-L3SsL&WFOpPwn%_Uq9Q+Oo@(Yv zSXX-mt|(Gcp>JHw>ch$f*XLa)+C9_#XnL<;&I|IMNbL1m5rM^)Myy%li)`>Vm?BXk z6}5ge7o=g^;F*oU2z=p-BnE3V8U=qRh*t$B4OnAh3b%w-{5Ty+k~jO1a>e~cm(Fk~ zWju<8%XqlMdi=a0CaPZ#gB=ndN;mSXuRjzk7|9zco9J~QwIjn1$?p@Z>TpQlDMhS- z7|QwugnW3N#)N)&9)v&Aj5(jo!;(I#VN6%-?aNx?Z8ni*iS zJ>FiQCQV%5h<5(cPN?bFbBWFR++#fLGKV|eeO7=ML`ErNjEjQCU`OzA76U_P3gPyz zWJxg>J;5Hb_Jb7<;FR~Bx^nY!(x>-*<*djX*EY0+DByt`!nBUs~mIHuZySi=G6?I27lm6 zEZ1Z={vxsgGe?SVp&8J4RZZG-py5r44@?O}6M1kU`Ay8QIV&gjBltLirkU;-4$%|F zljgk8AM4v;D4x~lNHm%dik{u(Gs}R`nReU;Yl~E4;S1_YSK-UTw2FXuQbY9KcNN7Y z%bFgb>xwh1@f;`7dcoJ=@MO}R{dOM-QlBBA8TT6H`3Up(N*k=~r*bd4i@Q%Z1oNo{ zb?nGa=g&MiRi2uDk*a0ZwW}f-GWQK38(8IV$P8Etl;EUwI~CUILPfqv@*)P|q{nk& zO@V~`7Lf;IULmG&Xy$PL7kJDhq*6~yW%b;=7~}3uYlhOr@|(Q1su~@xz4X;{#qdlZ zYnv?(Zp}CR3IlDuHSu4Y7)R5o@josg!JU!UfWp**h7KB|Vp)r|`Sp1syEo#0pSvBu zh`FSzBOlQ&2zPB*J)PUi)-3i4LQ2Q1uQANE5?xZ0xn~I31aBpE!^z z2=2W*5y};wT%vZd3F=|1q>iwrY>*Neisp;XX;8Z}gwC5kheMVTTnL&f=gplm=i+{+ zsW1Hq3Z_-EK~O{z(DmT;M(I26w86-RS$Z;)0ch3tme$ssh*k=GET1l?CW`ZRcI
Jb+Pn*X8x854PFdGyqGiY1vO8KoU3Gt;%fS3`^(&S#`+mYlam43F-E zm}(xlj~j&;j%;qFBjy<&_WZ+%$CBb}ud_h!N$yaV7e`Uel-fbL-(?a7gJA^^_8Yje zA*X+~u;h*?te>dtUAmM}hme$NT-r;lOchoTYW(ytDvAzZx>wGBROde6r0;x&Xj$TV zfo<_>gA)C!l(TS@))ncR2erMpdVaIofc83*rGWREY0lSbEuy3dzKlBzZ8I?bHA)`)rxu%xEh1N9_IG;vre$|Ya5N8N*%1{U z(Gdql^q21PRVkbY^cA-lph*^Ooh`mxDIJ7=&;W1e&#a_)zo_wFMmb$yB7bCt-JfT5 zS)R1#>qQRgs<69oS0a~ZDZ@!m16e?(2PyO#zh3Ot68HuO16dAlUN=?9y3m~H_P#9I ziZTXgT^wA`o-40kzk)QOMiDrtoc`toT%w7BaonEyUi@?hATj z^gV$?q48q@4Tn2P+a(C=yEkYp2JSTdl&ZUaq-@Dolc(i*l0bgSdW2^bQb0)e zI{9$!S6#KteNz>fvk_}@ z=pPG@zQ;x3gn2hR28GDH^NnOS^)Y$%DSW#{`TYleWaM^DP{P8rAF0d}?WmH*h^_6i z>0(Fj6-F+>BKMn$>?4A{?$uU;598jFt6E*W!iqZN=z<-+07S>Fa@ zc(g`$12!c-&XIq>%taA4QtDG(5MA^Gsa;OJ zdKiJU$Zs80Zx#`QJnlxicfjg!9Lx#06|h!w`aLR*8&(GUnI>4~$||*w7xwyEWee}) zo6WLHQtex|h+%158b-%(L7Q-H0`w4TO+ofXr5lmPB3`2mfK$2xLGP`guhe+| z?QTHc8g{&f(0exMu)go!pI{D&bg z1RLuvh4o>)=8PZgmzmK`ZXq&-*?!&~!YxCOF%UO%vfR@3cq|p!mP@wo4F$#2CukF_ zP+?gLy)14-S36YMg~VF!p-L~bO$D+B$TdF==w;L&9w3{wlqglD@ANb5_bkx#YIJ-fnYr9f`)A%QrW#3mVjiD z+61pYTT!lFwi6;CxB}n#K93XDG1F-IU+DeTJymrUF~f9V52*E3<;rzCAPGA` zzjC>Gn`MramrIN~JO$~SZRXORqfcuD4>&xx{5KQm9JY$GvO z6>Fn|*y7uiYWnnAw0X!J*ILvY=2*|`XhsFoe|SsF>mwNuH(un<8g4{KgpTkh$&QxBS9yXQo*D*MyNak z@wK2*0@ywmW4FBlf$C$Uh3n*IAa*&2YA?MgaE>{AJJL;|=SibxPIg_rPu1ss?OF}L zs}Lmg<$Z!b6id8kmdn)LjvFT=5!N}c>e6qo-NW`^Fdq@@=s?)quvdFSQ3V%L^<}l){Gmi6-)%d$42H5!c-wL2Y z{|Wv^pDUH7c+O+5d+5Z<`PTkP3B4j%baMHv>)W=YcXwu4dVl46fhQCg95`Cy$i)Kh zv8+%C8|x`v>3nQ!^Vig+IG=f^g3&FY7dHW7-^K>irloudR+huT*Bya0rt!`&LgDW; z#i{+*DTvQ48Gm(#Hp?p7H)+>&9Lp6u(y$kb*UnT`I>K+7vw67lW4EW?-=%k!k%2n0 zySu|CyX>(n5y%-I7RESfmp6cTjIozmULjAlsI z0$`#UH%7Z}>6kc-QcR5a%$&6O%}6B8*!EL0ogG|xGkt5886Xuo!tG=Dk2uGzm;mTa zgxCX!)=Zj>m)cLP>IRq4XJ!{D3#jvJ@N+4rwmUdnVKu67dVv}3n`v?0H?8Zfr(5F> z5c}EKfMDMIox~uTuI~A-CD(56(yxYRjBk?h{qCfdD3jwy!2z=V3&bf*_XLIj?6N3~ zyy(-{O&8{Sg^W*XL}Y!9mHRcx#zn()Jh{@c0QJa#ust34jZ{B35BDqiNZ0s#waTt(*b7TFBg ztdzf+zZ&nH4a{VXh2{C{zFh?cbVkp|nC+x6jKX_thAT5FUh9S3Ve^dt_vMKW2H;Iv zMPE*<5HD&kYWp9t1HHoVAU#U(Oof>Fkw6UZoHo#>hsnGtygLNt;<+|hvkTZi&2hq> zcbm$^^CkX4Jz)7Y1`OfEyT-^;q8wgXQ=0oK`zpM1-+N|8Y{ZbJ#P|LsOuRR% zZ!b<>i>->Na9zT4$lp3?E@ww!Vu_#}p&WsPByxGSM6|{$M02M|6iQP-xfsOcwdB1r zX35LC;}l1PwFS!!1o*Z7ANsiMMgytH2Yiru3{r?)ba-3(}f@#|~@9N>}LidG+^2qJm_0@U; zPkF+_xvszE4|tkW(OyvB_8lmw@$lSY^peqgYx|50ty3>AK+lgu4BeJyg(7i>%9wBb zq*Z2pO^k?*p8=zbGfE`_s|XGbbfXdnSug1l?n3y8bya|&`QV0Ql`9vK<&@cJRtMs$ z?ZUpimTUw(^?yPw$N`wDy_E^e3QwXM^V7GKJB-TPx38VyG4d}?jAqf1X1>sThBJMW z40jg~V;;S3mjX{#d)RZc%~i82pVvHpDrPw@DawiYQ3rJw=EZ6b6Op_0GS8lPFhmt7 z&TX0*lz2rf$x{M;dN2n21`F^whK-~y)_8MH^+8-Uv(+56V$u}jFLF`4$AUy68w>f- zr9G6zdiS|4?Ct>Tdl@@lyxo&&3MBpS8EM$eFXYNwwX7e`3BzHaJD-E3kea2zD{_)p zq+oEgh?&t^sV_>OzVha9l-qzJ{}dGT=UxOH}tNrP{{Fjg->QMaH`$npS=3bot05Rw&OVH(Yuycn`0 zI#@n24Kxo^swn$y9yhNE9HsQFT0&sLc+zk z4UCVi*S*EAM%VV(>QO@ydiy?_j{m+}ZGkRvvVeOcVLS3LrG2_=*z>;*Ig|1`9}kMuGZhr0da Qa6IY3Mz-mYl1w>~tY~6rFaQ7m literal 0 HcmV?d00001